Interference lithography

Interference lithography is a less frequently used method for patterning. The basic principle is the same as in interferometry or also in holography.

Determining the conductivity of Electra 92 layers on glass

The specific conductivity of thin films can be determined with various methods, e.g. by non-contact eddy current mapping or also after a direct contact using the four-point probe method (four-peak measurement).

Stabilisation/ hardening of resist films

A large variety of applications require structured resist architectures which achieve long-term stability against organic solvents after a subsequent hardening step.

Aluminium structures developed directly

The fabrication of aluminium structures generally involves the production of a resist mask with subsequent wet-chemical etching. The more elegant procedure of direct development can be applied if the negative resist SX AR-

Exposure

Contact exposure refers to a process in which a photo mask and a substrate are brought into direct contact. This procedure leads to the best resolution of all shadow projections.

Wet chemical etching

In wet-chemical etchings, the chemical bonds of the material to be processed are cleaved by mostly aggressive etch media and converted into soluble substances.

Wet-chemical etching procedures thus place very high demands on the protective resist layer. Decisive process parameters are, in addition to the choice of a suitable resist, the etching medium itself, the duration of the etching step and the etching temperature (which determines the reaction speed). Another important aspect concerns the adhesion properties of the resist on the substrate to be etched. An insufficient adhesion of the resist to the substrate may result in the detachment of the resist layer which renders the substrates unusable for any further applications. Adhesion problems and cracks in the resist layer frequently often appear at first at resist edges, since local heat and gas development lead to an additional mechanical load in these areas. Especially in the case of HF-containing etchings, additional large-area detachments of the resist are possible due to the diffusion of fluoride ions through the resist layer; the substrate surface is consequently attacked. Resist adhesion can generally be improved by a suitable pre-treatment of the substrate (adhesion promoter) and an optimised process management (sufficiently high resist thickness, adapted soft-bake and PEB, possible final final-hard bake).

Distinguished are anisotropic etching (rate-limited etching in which the activation energies are different for each crystal plane as in the case of Si etching with concentrated, hot KOH) and isotropic etching which occurs with amorphous materials (glasses, metals). In the case of isotropic etching, an under-etching of the resist layer cannot be avoided even if resist adhesion is optimal. If mass transport (diffusion of active components and etched products) does not limit the etch rate, a lateral under-etching occurs in proximity to the substrate surface which is comparable to the etching depth.

Specific etching mixtures are used for each material/substrate, and resists have to be selected with regard to their compatibility with the etching solution. After wet-chemical etching, intensive and multi-stage rinsing with DI water is required. These rinsing steps completely remove any etching solution which penetrated into the resist layer and prevents possible later resist damages. Insufficient rinsing may lead to a concentration of the etching solution during the final drying step, which then results in crack formation and altered/poor removing properties.

Novolac-based resists generally show a good stability against non-oxidizing acids and corrosive iodine solutions (KI*I2). Concentrated hydrochloric acid is thus generally no problem, while concentrated nitric acid or concentrated sulphuric acid solutions strongly attack the resist layers.

Diluted hydrofluoric acid (concentration < 6 %) is well tolerated, but more concentrated HF solutions causes problem with respect to the adhesion properties of the resist which are due to a diffusion of F– ions. The concentration of „free“ F– ions can however be reduced if NH4F-buffered HF etch is used (BOE process). At the same time, the formation of highly reactive HF2– ions induces a considerable increase of the etch rate and a more controllable, more homogeneous etching process. Novolac-based resists show a significantly higher stability against buffered HF solutions.

Novolac-based resists are quickly attacked in strongly alkaline etching solutions. PMMA (resist AR-PC 503) is well suited for a use as backside protection, e.g. for etchings with hot, concentrated KOH. The substrates (also the edges!) must however be coated without any defects in order to avoid a rapid detachment of the protective layer due to under-etching.

Chemical dry etching

Chemical dry etchings fall into two categories depending on the etching principle. 1. A more chemical etching refers to a process in which the substrate is attacked by radicals and converted into volatile compounds.

UV-curing

Photoresist structures of standard resists possess a softening point of 115 – 130 °C. During subsequent thermal processes (plasma etching, sputtering or others), these temperatures are easily exceeded.