E-Beam Resist Archives - Allresist EN https://www.allresist.com/category/resist-wiki/resist-wiki-e-beam-resist/ ALLRESIST GmbH - Strausberg, Germany Wed, 27 Jul 2022 10:50:00 +0000 en-GB hourly 1 https://wordpress.org/?v=6.5.2 Poly(phthalaldehyde)-based electron beam resists, University of Tübingen https://www.allresist.com/polyphthalaldehyde-based-electron-beam-resists-university-of-tubingen/ Wed, 27 Jul 2022 10:20:51 +0000 https://www.allresist.com/?p=17674 A direct positive patterning of PPA layers is possible by electron bombardment. Similar to the irradiation of normally used e-beam resists like e.g. CSAR 62 or PMMA, the electron beam causes a fragmentation of the polymer chains.

The post Poly(phthalaldehyde)-based electron beam resists, University of Tübingen appeared first on Allresist EN.

]]>
.avia-section.av-ku4s8q-d82fd2d63dca6dc3188a8dce54364210{ background-color:#f0faff; background-image:unset; }

Poly(phthalaldehyde)-based electron beam resists, University of Tübingen

A direct positive patterning of PPA layers is possible by electron bombardment. Similar to the irradiation of normally used e-beam resists like e.g. CSAR 62 or PMMA, the electron beam causes a fragmentation of the polymer chains. The polymer fragments produced from poly(phthalaldehydes) are however instable and disintegrate directly into the volatile monomers. In this process, only extremely small amounts of monomeric phthalaldehydes are released which do not significantly influence the quality of the applied vacuum and can furthermore be exhausted completely. Approximately 2×10-6 ng (only 2 femtogram!) of phthalaldehyde is for example released during the writing of a trench with a length of 1000 nm and a width of 50 nm at a film thickness of 30 nm. By selective overexposure, also lift-off architectures can be generated due to the associated proximity effect.

First experiments concerning a direct structuring by e-beam irradiation have already been carried out at the Raith company. Using the (not yet finally optimised) PPA resist samples of the SX AR-P 8100 series on a PMMA layer, an almost complete development can be achieved with a dose of only about 5 μC/cm² (2 kV). At an acceleration voltage of 30 kV, the value is approx. 35 μC/cm². Substrates which are ejected from the e-beam device after exposure are already completely developed.

Belichtungsraster

Figure: Exposure grids with acceleration voltage and dose variations.

Scanprofil

Figure: Scan profile determined on a Dektak 150 profilometer; depth of development as a function of the dose, exemplarily demonstrated for an acceleration voltage of 10 kV.

With increasing exposure dose, also concurrent crosslinking processes are becoming more and more important. Radicals produced by the electron beam are able to stabilize crosslinks and consequently also the layer. This effect likewise occurs with PMMA, even though at much higher exposure doses, and is here used to create negative PMMA architectures.

To determine the resolution limits of resist sample SX AR-P 8100, the company Raith investigated lines patterns in detail. Lines of variable width were written into the PPA layer and coated with a thin platinum layer after metallisation (sputter coating, about 4 nm platinum layer). Line widths of about 20 nm could reliably be produced, and the highest resolution obtained so far was 16 nm.

Linien-in-PPA-geschrieben

Figure: Lines written into PPA (resist SX AR-P 8100).

16nm-Steg-nach-Sputtercoating-mit-Platin

Figure: 16-nm bar obtained after sputter coating with platinum.

The post Poly(phthalaldehyde)-based electron beam resists, University of Tübingen appeared first on Allresist EN.

]]> Electron beam resists https://www.allresist.com/electron-beam-resists/ Mon, 13 Jun 2022 10:15:17 +0000 https://www.allresist.com/?p=17544 Among the first resists used (since about 1980) and still applied in many cases are short-chain (50.000 g/mol (50k)) as well as long-chain (950.000 g/mol (950k)) poly(methyl methacrylate) (PMMA resist, sensitivity at 100 keV approximately 250 – 500 µC/cm2).

The post Electron beam resists appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

Electron beam resists

Significant components of electron beam lithography systems are the electron source, the electro-optical system and the focusing system (deflection or respectively projection unit). Hot cathodes are used for equipment with lower resolution, devices with higher resolution, however, preferably need thermic field emission sources. In order to focus and concentrate the electron beams, special equipment is needed, which, analogical to optics, is refered to as lens systems. Both magnetic and electrostatic lenses are used for the direction of the electron beam, however, the latter ones are not suitable for fine focusing because they show greater aberration. For a very accurate focusing, electron beams with extremely narrow energy dispersion are prerequisite. Very little deflections of the electron beam are usually achieved by electrostatic systems, greater beam deflections by electromagnetic systems. Due to inaccuracies and the limited number of exposure steps, the exposure area is very small and only 100 to 1000 µm. For writing greater patterns, a moveable substrate plating is prerequisite and must fulfil particularly high requirements with regard to exact positioning for the pattern sequence.

The post Electron beam resists appeared first on Allresist EN.

]]> Electron beam lithography systems https://www.allresist.com/electron-beam-lithography-systems/ Thu, 09 Jun 2022 11:55:36 +0000 https://www.allresist.com/?p=17540 Significant components of electron beam lithography systems are the electron source, the electro-optical system and the focusing system (deflection or respectively projection unit). Hot cathodes are used for equipment with lower resolution, devices with higher resolution, however, preferably need thermic field emission sources.

The post Electron beam lithography systems appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

Electron beam lithography systems

Significant components of electron beam lithography systems are the electron source, the electro-optical system and the focusing system (deflection or respectively projection unit). Hot cathodes are used for equipment with lower resolution, devices with higher resolution, however, preferably need thermic field emission sources. In order to focus and concentrate the electron beams, special equipment is needed, which, analogical to optics, is refered to as lens systems. Both magnetic and electrostatic lenses are used for the direction of the electron beam, however, the latter ones are not suitable for fine focusing because they show greater aberration. For a very accurate focusing, electron beams with extremely narrow energy dispersion are prerequisite. Very little deflections of the electron beam are usually achieved by electrostatic systems, greater beam deflections by electromagnetic systems. Due to inaccuracies and the limited number of exposure steps, the exposure area is very small and only 100 to 1000 µm. For writing greater patterns, a moveable substrate plating is prerequisite and must fulfil particularly high requirements with regard to exact positioning for the pattern sequence.

The post Electron beam lithography systems appeared first on Allresist EN.

]]> Generation of secondary electrons https://www.allresist.com/generation-of-secondary-electrons/ Thu, 09 Jun 2022 11:16:32 +0000 https://www.allresist.com/?p=17536 Alongside the occuring elastic scattering effects at a collision with other electrons or atoms, incoming primary electrons can also be scattered inelastically when entering or traversing resist layers.

The post Generation of secondary electrons appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

Generation of secondary electrons

Alongside the occuring elastic scattering effects at a collision with other electrons or atoms, incoming primary electrons can also be scattered inelastically when entering or traversing resist layers. In this process, primary electrons transfer a part of their energy or respectively their impulse onto other electrons. The released secondary electrons, which may have another wavelength, e.g. Auger electrons, are likewise able to  break down chemical bonds and release further elctrons of less energy in the material. This results in the formation of an electron cascade.

The post Generation of secondary electrons appeared first on Allresist EN.

]]> E-beam resist: Procedures https://www.allresist.com/e-beam-resist-procedures/ Thu, 09 Jun 2022 10:48:25 +0000 https://www.allresist.com/?p=17532 There are both mask-based and maskless writing procedures in electron beam lithography. More up-to-date systems use defined beams with, by the application of masks, selectively adjusted geometrical cross sections or respectively profiles, which are deflected upon the various positions (vector scan mode).

The post E-beam resist: Procedures appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

E-beam resist: Procedures

E-beam lithographic procedures

There are both mask-based and maskless writing procedures in electron beam lithography. For direct, maskless writing, older systems use electron beams with Gaussian energy distribution, which are directed over the substrate in the grid. More up-to-date systems use defined beams with, by the application of masks, selectively adjusted geometrical cross sections or respectively profiles, which are deflected upon the various positions (vector scan mode). The deflection of the electron beam is achieved by electrostatic interdependence of the electrons.

The used, variously shaped electron beams differ with regard to their energy distribution in the beam cross section in fixed shape beams on one hand (round, Gauss beams, square shape, round spot with steady energy distribution) and, on the other hand, beams of variable shape (mostly triangles or quadrangles of various sizes and form. The shape of the beam is generated by an aperture or respectively a structured aperture plate.

Similar to photolithography, there are specific proximity exposure techniques in mask-based procedures, such as 1:1 projection or also other projections in which the structures of the mask are downsized.

The post E-beam resist: Procedures appeared first on Allresist EN.

]]> Three-layer system CSAR/PMMAcoMA/PMMA https://www.allresist.com/three-layer-system-csar-pmmacoma-pmma/ Thu, 09 Jun 2022 08:54:18 +0000 https://www.allresist.com/?p=17524 A further variant for the structure of three-layer systems uses 950k PMMA as bottom resist, AR-P 617 as middle layer and CSAR 62 as top resist. There is no mixing during the coating, thus ensuring a defined layer buildup. AR-P 617 can be coated on all PMMA’s (50k – 950k) without any problems, besides, the coating with AR-P 6200 (CSAR 62) is successful as well since anisole does not dissolve AR-P 617.

The post Three-layer system CSAR/PMMAcoMA/PMMA appeared first on Allresist EN.

]]>
.avia-section.av-kt4shs-a380d1b463b1661a7f696840d3406d81{ background-color:#f0faff; background-image:unset; }

Three-layer system CSAR/PMMAcoMA/PMMA

System PMMA / PMMAcoMA / CSAR 62

A further variant for the structure of three-layer systems uses 950k PMMA as bottom resist, AR-P 617 as middle layer and CSAR 62 as top resist:

Schematic representation of three-layer system: 950k PMMA (bottom), PMMAcoMA (middle), CSAR 62 (top)

There is no mixing during the coating, thus ensuring a defined layer buildup. AR-P 617 can be coated on all PMMA’s (50k – 950k) without any problems, besides, the coating with AR-P 6200 (CSAR 62) is successful as well since anisole does not dissolve AR-P 617. By use of selective developers after exposure, the T-gate architecture can be regulated well. The high-contrast developer AR 600-546 and also the stronger developer AR 600-549 as well as MIBK, o-xylol and ethylbenzene develop in a very selective manner the upper resist layer. The middle layer can likewise be developed very selectively with X AR 600-50/2 or AR 600-50. Finally, developers containing MIBK (AR 600-55 or AR 600-56) can be used for the bottom layer.

These procedures are presented elaborately in a paper for the MNE 2017, here is a structure made possible by this system.

It is a disadvantage for some users, though, that the developer must be changed several times during the process. Therefore, a developer mixture was needed which defines and sufficiently develops all layers. Many strong developers effectuate a distinct dark erosion. By smart combination of an active (developing) component with an admixture that inhibits development, the dark erosion can be reduced. The simultanoeus reduction of sensitivity can be compensated slightly by a prolongation of the development time. The dark erosion of CSAR 62 in  X AR 600-50/4 is less than 5%, even after 10 minutes of development.

Dose scale for CSAR 62 (AR-P 6200), SB 180°C, layer thickness: ~240nm, 100 kV, developer X AR 600-50/4, gradation curves dependent on development time at 21.5°C, stopper IPA.

The universal developer X AR 600-50/4 can be used to develop CSAR 62 as well as AR-P 617 (PMMAcoMA) and PMMA in a very sensitive manner. Special developer X AR 600-50/4 is well suited for the development of AR-P 617 as well as CSAR 62 and 950k PMMA, and thus can be used as universal developer for  2- or also 3-layer processes. The sensitivity and thus also the characteristic undercut in the middle layer can well be adjusted by exposure dose and, making use of the dark erosion, by the development time. The developer causes a certain dark erosion of approximately 18nm at 21°C and a development time of 60s. An extension of the development time to 3 minutes also raises the dark erosion significantly to approximately 95nm at 21°C, but only about 80nm at 19°C. Generally, dark erosion can be decreased and contrast slightly raised by reduction of the developer temperature.

Overview E-beam positive



The post Three-layer system CSAR/PMMAcoMA/PMMA appeared first on Allresist EN.

]]> T-gates with three-layer system CSAR/PMMAcoMA/PMMA https://www.allresist.com/t-gates-with-three-layer-system-csar-pmmacoma-pmma-2/ Wed, 08 Jun 2022 10:31:51 +0000 https://www.allresist.com/?p=17515 T-gate structures are often required for the fabrication of electronic components (MEMS, HEMTs). Corresponding nanostructures can be realized via e-beam lithography in multi-layer processes. Generally, resist layers with different sensitivities like e.g. PMMAs with varying molecular weight distributions are coated on top of each other, irradiated with electrons and then developed in one step.

The post T-gates with three-layer system CSAR/PMMAcoMA/PMMA appeared first on Allresist EN.

]]>
.avia-section.av-l1fk8p-0be0ee3c6b7f05cfc0df29321b08cab5{ background-color:#f0faff; background-image:unset; }

T-gates with three-layer system CSAR/PMMAcoMA/PMMA

Publication MNE 2017

T-gate structures are often required for the fabrication of electronic components (MEMS, HEMTs). Corresponding nanostructures can be realized via e-beam lithography in multi-layer processes. Generally, resist layers with different sensitivities like e.g. PMMAs with varying molecular weight distributions are coated on top of each other, irradiated with electrons and then developed in one step. For the production of more defined architectures it is however advantageous to combine different types of resists. In this case, not only the mixing of the different layers during coating can be avoided, but also the use of selective developers is possible. As a result, high contrasts and accurate undercuts can be achieved.

The following resist combination was evaluated at the Martin Luther University in Halle (AG Prof. G. Schmidt) with great success:

Computergenerierter Alternativtext: Q CSAR • Q PMMA 950k • GaAs substrate

Fig. 1 Resist architecture for the fabrication of T-Gates

CSAR 62 was used as top layer; the development was carried out with AR 600-546 (amyl acetate). In this high-contrast developer, CSAR 62 and also the lower layer consisting of 950k PMMA (AR-P 679.03) has a relatively low sensitivity. In the intensively irradiated (30 kV) central area, nevertheless a complete development of all layers is achieved.

Subsequently, the undercut in the middle layer (PMMAcoMA 33, AR-P 617) can be produced in a second development step with X AR 600-50/2.

Computergenerierter Alternativtext: cstep i amylacetat cstep 2 AR 600-50/2

Fig. 2 Schematic sketch of development steps 1 and 2

Fig. 3 3D-resist profile after development and prior to the metallization step

The special developer X AR 600-50/2 selectively develops the middle layer. The three-layer process as described above enables the fabrication of three-dimensional nanostructures with high contrast in only one electron exposure. The process window is wide, and the shape of the undercut as well as the geometry of the lower PMMA layer can be easily modified. After metallization and lift-off, T-gate architectures as well as nanoscale metal bridges could be realized.

Fig. 4: T-gate after lift-off

Computergenerierter Alternativtext: H K WD • LIma a lit) EH )ÓO IV

Fig. 5: Metal bridge structures

The post T-gates with three-layer system CSAR/PMMAcoMA/PMMA appeared first on Allresist EN.

]]> Basics https://www.allresist.com/basics/ Wed, 08 Jun 2022 09:23:16 +0000 https://www.allresist.com/?p=17503 Information on:

Polymer resists (layer builders)
Photosensitive components
Cross linker
Other resist components (adhesion promoter, tenside, solvent, colorant)
Process information such as: Cleaning of substrates, adhesive strength, dilution of resists, yellow light, softbake, rehydration, exposure and storage
Process procedures such as:   Lift-off procedures, wet-chemical etching, dry-chemical etching, UV-curing, lithographic procedures and stabilization/curing of resist layers

See Photo resists: General

The post Basics appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

Basics

Information on:

  • Polymere resists (layer builder)
  • Photosensitive components
  • Cross linker
  • Other resist components (adhesion promoter, tenside, solvent, colorant)
  • Process information such as: Cleaning of substrates, adhesive strength, dilution of resists, yellow light, softbake, rehydration, exposure and storage
  • Process procedures such as:   Lift-off procedures, wet-chemical etching, dry-chemical etching, UV-curing, lithographic procedures and stabilization/curing of resist layers

See Photo resists: General

The post Basics appeared first on Allresist EN.

]]> E-beam resists: General https://www.allresist.com/e-beam-resists-general/ Wed, 08 Jun 2022 08:14:08 +0000 https://www.allresist.com/?p=17497 Electron beam lithography is a special procedure for the structuring of electron beam sensitive resist layers in order to produce microelectronic circuits and photomasks used in photolithography.

The post E-beam resists: General appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

E-beam resists: General

Electron beam lithography is a special procedure for the structuring of electron beam sensitive resist layers in order to produce microelectronic circuits and photomasks used in photolithography. Electron beam lithography is a promising technology for the 32-nm structures aimed for in the near future with the potential to generate structures with even smaller resolution and in mass production later. The competing extreme deep UV lithography, which is likewise predestined for solving this ambitious task, is not analyzed in this Wiki because these resists are not focal for us.

By exposure to accelerated electron beams, the polymers in the e-beam resist are chemically modified in such a way that their dissolving behavior changes in the developers used (mostly organic solvent mixtures in the case of positive resists) and the resist layer thus can be structured systematically up to the nanometer range. The developed structures can subsequently be transferred to other materials, either by metal deposition or by etching of the substrate beneath.

The post E-beam resists: General appeared first on Allresist EN.

]]> Scattering https://www.allresist.com/scattering/ Wed, 08 Jun 2022 07:22:27 +0000 https://www.allresist.com/?p=17493 If an electron beam with high energy is channeled onto a resist layer (5- 100 keV), forward scattering ( 90° in direction of arrival). The deflection causes the electron beam to widen, thus reducing resolution.

The post Scattering appeared first on Allresist EN.

]]>
.avia-section.av-248esh-db6ce65c1aa198a26dd831225db2157c{ background-color:#f0faff; background-image:unset; }

Scattering

If an electron beam with high energy is channeled onto a resist layer (5- 100 keV), forward scattering (< 90° in direction of arrival) occurs as well as backward scattering (> 90° in direction of arrival). The deflection causes the electron beam to widen, thus reducing resolution. The backward scattered primary electrons, given a sufficient dose, cause a complete exposure of a resist volume which is significantly bigger than the original beamwidth. This effect can be systematically put to use in order to generate undercut resist structures. The electrons are scattered backward on the substrate and cause an increased exposure of the lower resist areas (proximity effect). The forming of the undercut can be specifically controlled by adjusting a suitable dose.

The post Scattering appeared first on Allresist EN.

]]>